Skip to Main Content
白皮书

PADS Professional 中的原理图 AMS 仿真如何确保正确的设计意图

在进入 layout 或制造阶段前先对设计进行仿真,能确保电路的行为符合预期,同时还能消除之后可能出现的昂贵的性能问题。在此示例中,我们将使用 SPICE 和 VHDL-AMS 模型设计一个振荡器电路并进行仿真。然后,我们将探索如何利用完全集成的 AMS 功能对现有的原理图设计进行仿真。

分享