Skip to Main Content
백서

자율 주행을 위한 HLS (High-level Synthesis)

자동화된 차량과 ADAS는 LiDAR, 레이더, 카메라 및 기타 장치의 센서 데이터를 융합할 수 있는 기능에 달려 있습니다. 이 센서 융합 작업은 점점 더 주문 제작 처리 하드웨어(FPGA 또는 ASIC)를 사용하여 수행될 것입니다. 이 센서 데이터를 기반으로 결정을 내리려면 머신러닝과 AI를 적용하기 위해 더 많은 주문 제작 칩이 필요합니다. 이 백서에서는 HLS(High-Level Synthesis) 방법 을 사용하여 설계 흐름을 가속화하고 검증 과제를 해결하는 방법을 설명합니다. 때로는 HLS 방법이 수작업 코드 RTL보다 훨씬 효과적입니다.

상위수준합성을 통해 상위 수준 추상 환경에서 칩을 설계할 수 있습니다.

자율주행 차량의 센서는 차량을 둘러싼 주변 환경에 관해 실시간으로 끊임없이 대량의 데이터를 생성합니다. 차량은 이러한 데이터를 빠르게 처리하고 자율 주행을 위한 결정을 내릴 수 있도록 하는 새로운 하드웨어 아키텍처가 필요합니다. Catapult® HLS 플랫폼은 검증된 우수한 결과를 보유한 업계 최고의 HLS 플랫폼입니다. Catapult는 C++ 및 SystemC를 사용하여 기능적 목표를 설명하고, 더욱 생산적인 추상화 수준으로 업그레이드할 수 있도록 해줍니다. 또한, Catapult 플랫폼은 PowerPro와 함께 사용하면 강력한 상위 수준 합성(HLS) 기능을 제공하여 RTL 전력 및 검증 인프라를 계측, 탐색, 분석하고 최적화할 수 있어 C++과 RTL의 원활한 검증을 보장합니다. 자율주행 차량을 위한 알고리즘 집약적인 설계과 HLS는 완벽한 조합입니다. 자율주행 차량에 탑재할 반도체를 공급하는 주요 업체에서는 이미 HLS를 사용해 알고리즘 집약적인 설계를 성공적으로 구현하고 있습니다.

공유