Il tuo processo di sviluppo delle attrezzature pesanti è in grado di stare al passo con i progressi dell’automazione? La complessità dei progetti aumenta di anno in anno e i clienti si aspettano qualità e prestazioni sempre migliori. Guarda il video e scopri come ottimizzare l’ingegneria delle prestazioni con processi di miglioramento continuo.
La validazione è una parte essenziale del processo di progettazione per garantire la conformità, l'efficienza e la sicurezza. E se fosse possibile accelerare l’innovazione per fornire il miglior prodotto possibile con tempi di consegna più brevi? Scopri in che modo l'ingegneria predittiva delle prestazioni favorisce la creazione della nuova generazione di attrezzature pesanti.
L'ingegneria delle prestazioni è una parte fondamentale del processo progettuale di un costruttore di attrezzature pesanti, in quanto consente di:
In this session, LGE describes a new design approach which concluded that adopting Catapult in IP development increases efficiency in time and cost, and they plan to increase usage in future IP projects.
This talk provides a brief overview of NVIDIA Research’s use of Catapult HLS and highlights some useful features and flows of the Connections library, such as the ability to back-annotate SystemC simulations.
Video describing how Catapult AI NN now delivers a methodology and flow from “AI/ML Framework to RTL” enabling rapid exploration of network, quantization, design reuse factors, and more!
This session will describe applying known and trusted static, formal and dynamic approaches to verification performed at the C++ or SystemC HLS level of abstraction.
This session explores the design process from algorithm to hardware accelerator on a RISC-V processor as we quantify power consumption and performance.
For increasing requirements for shorter time to market, Nokia has explored is raising abstraction level in both RTL design and verification with the help of High-Level Synthesis and Verification tools.
Through HLS and their innovative modular system, STMicroelectronics leads in the seamless integration of digital intelligence into analog native products, setting new standards for efficiency and market.
The authors will present the ease of use and the value-add of the HLS methodology in an automotive context.
Session introduces High-Level Synthesis, a technology that allows a developer to take a C++ function and automatically compile it into an RTL hardware description, suitable to be deployed into an ASIC or FPGA.
Fermilab introduces their partnership with Siemens to provide full integration with Catapult HLS design & verification flow, describe projects that have benefitted from hls4ml, and outline future directions.
ORNL with the help of the Siemens EDA tools, including Catapult HLS, the neuromorphic accelerator is being adapted from an FPGA prototype to a more capable and lower-power ASIC implementation.
With constant change in AI/ML workloads, NVIDIA leverages a High-Level Synthesis design methodology based off SystemC and libraries like MatchLib to maximizing code reuse & minimizing design verification effort
Telechips designed a new dewarping engine processing video stream data on-the-fly, different from traditional GPU-based memory-to-memory approach, by utilizing hierarchical design methodology in Catapult HLS.
STMicro presents a unified way to integrate the definition of RTL and C functional coverage and assertion (reducing the coding effort) and a method to add constraints to the random values generated in UVMF.
High-Level Synthesis (HLS) is design flow in which design intent is described at a higher level of abstraction such as SystemC/C++/Matlab/etc.
CEA presents a methodology that bridges the open-source DL framework N2D2 and Catapult HLS to help reducing the design process of hardware accelerators, making it possible to keep pace with new AI algorithms.
Discover how C++ & SystemC/MatchLib HLS is more than just converting SystemC to RTL. In the RTL Design space, we will cover our technology for Power Optimization with PowerPro Designer & Optimizer.
Infineon & Coseda present on the adoption of High-Level-Synthesis at an existing SystemC system level model.
Dr. Panu Sjövall from Ultra Video Group (Tampere University), sheds light on how they were able to implement their embedded real-time HEVC intra encoder (Kvazaar) on HW with Catapult HLS.
Introduces simple & robust quantization methodology based on value range analysis. Learn what’s fixed-point conversion a.k.a quantization; dynamic & static quantization methods; and how to use Catapult VRA.
DUTh demos using HLS to design CNN accelerators with on-line checking capabilities, improve power efficiency due to optimized data handling on spatial variants of convolution, and effectively use HLS.
At the IP level, an ISP was created within a year using Catapult, a task impossible using traditional RTL. To reduce dependency on designer experience, Alibaba introduced an AI-assisted DSE tool.
Cornell intros HiSparse: accelerator on sparse-matrix dense-vector multiplication. Using both HLS implementation and simulation, their sparse accelerators deliver promising speedup.
FNAL demos that a NN autoencoder model can be implemented in a radiation-tolerant ASIC to perform lossy data compression. This alleviates the data transmission problem.
Harvard sheds light on their agile algo-hw co-design & co-verification methodology powered by HLS. It led to an order of magnitude improvement in the design effort across 3 generations edge AI accelerator SoCs.
Describes the design and verification of the systolic array-based DNN accelerator taped out by Stanford, the performance optimizations of the accelerator, and the integration of the accelerator into an SoC.
Webinar introducing a design methodology starting from a flat floating-point Simulink model and step through to HLS generated RTL. All design steps including fixed-point conversion are described in detail.
Webinar introducing a design methodology that starts from a self-contained MATLAB script and goes through the different workflow steps to HLS generated, high-quality RTL. All design steps are detailed.
This webinar demonstrates how one can achieve comprehensive verification faster at a higher level of abstraction but still apply known and trusted RTL verification techniques.
High-Level Synthesis (HLS) extends the traditional design flow, providing a new and powerful approach to hardware design. It is important to understan
High-Level Synthesis (HLS) using untimed C++ presents an elegant hardware abstraction framework for simplifying hardware design at the unit level. To
One of them is about proposing adaptive floating-point (FP) quantization to replace integer (INT) quantization for NNs.
A high-productivity digital VLSI flow for designing complex SoCs is presented in this webinar. It includes High-Level Synthesis tools, an efficient im
This webinar will cover how to port an existing HLS design developed within the Xilinx® Vivado® HLS environment into Siemens' Catapult® HLS Platform.
This webinar will introduce NVIDIA Matchlib and its usage with Catapult HLS using some AXI4 SOC demonstration examples.
Complex algorithms do not exist in a vacuum. After High-Level Synthesis (HLS) is used to create an RTL component, to be useful, it needs to be integra
Transistor counts and performance of integrated circuits are reaching their peak. Artificial intelligence is emerging as the next "big thing" in areas
GPUs and DSPs offer very high-parallelism and impressive memory bandwidths, within the scope of a fully programmable platform. However, they need to f
Highlights proven tools and methodology that help an HLS designer check and verify his design, measure, and close coverage, and compare the C to RTL implementation.
High-Level Synthesis (HLS), has been adopted by leading companies to speed design time and reduce verification costs in applications such as video and
Catapult® HLS is a key competitive technology in several emerging markets like machine learning and vision. In this webinar, we cover both an introduc
Neural networks are typically developed and trained in a high-performance 32-bit floating-point compute environment. But, in many cases a custom hardw
High-Level Synthesis (HLS) has been used in multiple companies, projects, and designs targeting vision processing for the past several years. HLS adop
The algorithms to teach a computer to see, understand and make decisions for ADAS and Autonomous Drive systems require a significant amount of paralle
Mobile devices today are composed of many specialized accelerators to achieve high-performance and low-power specifications. However, accelerator desi
This session reviews the consideration around fast HW prototyping for validating acceleration in Neural Networks for Inferencing vs highest performance implementation and the tradeoffs.
This webinar will describe how to use Qkeras and High-Level Synthesis to produce a bespoke quantized CNN accelerator, and compares the accuracy, power, performance, and area of different quantizations.
Writing RTL that works smoothly on both FPGA and ASIC implementations is nearly impossible. But, High-Level Synthesis (HLS) can make technology-indepe
Catapult, Veloce 5G Fronthaul, Veloce X-STEP, Questa