Skip to Main Content
white paper

Using curvature-based pre-bias to reduce iterations in curvilinear mask process correction

Curvilinear mask shapes are now possible in optical lithography for advanced process nodes, with the benefits of maximizing the process window (PW) and improving the CD uniformity (CDU). Adoption of curvilinear mask process correction had been limited due to long mask write time, but the introduction of multi-beam mask writers (MBMW) in volume photomask production has lifted that barrier. Distortions of the mask manufacturing process on curvilinear masks can also be corrected with model-based mask process correction (MPC), which has already been used in production on rectilinear masks.

However, the computational complexity of MPC on curvilinear masks is higher than on rectilinear masks because the movement algorithm is more complex and the number of edges to be processed is significantly higher on curvilinear masks. The complexity requires several iterations for convergence of MPC correction when the curvilinear shapes are complicated. This paper shows how using curvature-based pre-bias reduces the number of iterations required to reach convergence in curvilinear mask correction. The pre-bias is generated with a simple curvature model and does not require high compute resources so the total runtime of curvilinear MPC can also be reduced.

Share