Skip to Main Content
white paper

Status of curvilinear data format working group

The industry is moving full speed ahead to enable CL mask tools, data handling, and flows.

In 2019, we proposed the formation of a data format working group to address the need for curvilinear data representation. The new working group was formed by major semiconductor companies with representations from Siemens EDA, Synopsys, Nippon Control Systems, D2S, Aselta, and ASML-BRION to quantify the curvilinear data volume problem; develop, test and implement new or revised formats based on OASIS; and to formalize the working group as a SEMI task force. In this paper, we introduce the necessity of a new curvilinear data format and the share progress of our task force. We also demonstrate that given the nature of curvilinear data, representing it using native curve formats has significant value to reduce file size for future mask making flows.

Why curvilinear masks?

IC Design and manufacturing has historically represented shapes as Manhattan. Rectilinear polygons are a very efficient way to use available space. Circles and curves waste space, but in the real world, corners are always rounded to some extent. Rectilinear designs exhibit rounded corners on wafer due mostly to the low-pass nature of projection optics. Rectilinear designs exhibit rounded corners on mask due to finite corner resolution by optical/e-beam writers.

Patterning requirements of next-generation lithographic processes have pushed lithographers to explore the advantages of curvilinear masks. The arrival of multi-beam mask writers (MBMW) addresses the mask write runtime penalty associated with high vertex counts and brings curvilinear (CL) masks closer to reality.

The industry is moving full speed ahead to enable CL mask tools, data handling, and flows.

Share