Skip to Main Content
white paper

Multi-patterning options for 5nm and below: SADP, SAQP, SALELE

Given the alignment control issues of traditional litho-etch multi-patterning processes, self-aligned multi-patterning processes are a necessity at the most advanced nodes, regardless of the lithography technology used. Detailed, step-by-step explanations and illustrations of SADP, SAQP, and SALELE processes help you understand how these layouts are created during manufacturing.

Share