Skip to Main Content
white paper

High-Level Synthesis for autonomous drive

The sensors in autonomous vehicles continuously generate a high volume of data in real-time about the environment surrounding the car. Vehicles need new hardware architecture to process this data quickly and make decisions that enable self-driving. Catapult®, the industry’s leading High-Level Synthesis (HLS) platform, provides a new paradigm of designing silicon at a higher level of abstraction – in C++/SystemC – that improves the hardware design by order of magnitude. The algorithmic-intensive designs for autonomous vehicles are a perfect fit for HLS – and the methodology has been successfully adopted by major semiconductor suppliers in the automotive space.

Read this paper to learn how autonomous designers are grappling with new IC architectures for neural computing and computer vision to make autonomous vehicle solutions better and faster than ever before.


Share