Skip to Main Content
white paper

High-Level Synthesis for autonomous drive

Automated vehicles and ADAS hinge on the ability to fuse sensor data from LiDAR, radar, cameras, and other modalities. This sensor-fusion task increasingly will be done using custom processing hardware – either FPGAs or ASICs. More custom chips are required to apply machine learning and AI to make decisions based on this sensor data. This whitepaper describes how to speed the design flow and tame the verification challenge using the High-Level Synthesis (HLS) methodology, which in select cases is vastly superior to hand-coded RTL.

High-Level Synthesis enables designing silicon at a higher level of abstraction

The sensors in autonomous vehicles continuously generate a high volume of data in real time about the environment surrounding the car. The vehicles need new hardware architectures to be able to process this data quickly and make decisions that enable self driving. Catapult®, the industry’s leading High-Level Synthesis (HLS) platform, provides a new paradigm of designing silicon at a higher level of abstraction - in C++/SystemC – that improves the hardware design by an order of magnitude. It also enables a seamless verification of C++ and RTL as well as combines with PowerPro® for measurement, exploration, analysis, and optimization of RTL power. The algorithmic-intensive designs for autonomous vehicles are a perfect fit for HLS – and the methodology has been successfully adopted by major semiconductor suppliers in the automotive space.

Share