Skip to Main Content
white paper

Fix DFM hotspots in P&R with Calibre sign-off confidence

To achieve maximum yield while ensuring performance, design companies can no longer rely solely on design rule checking (DRC) compliance; they must also optimize design layouts using design for manufacturing (DFM) techniques. However, fixing DFM hotspots manually, outside of the place and route (P&R) process, is a time-consuming and iterative process. For IC design companies, finding, fixing, and verifying these hotspots during design implementation using an automated EDA process is the preferred solution.

DFM hotspot detection and fixing with signoff-quality verification during P&R

The GLOBALFOUNDRIES DFM-POP in-design fixing flow uses Calibre Pattern Matching technology to find DFM hotspot patterns in layouts. Adding the Calibre RealTime Digital API to this flow enables fast Calibre signoff-quality checking of hotspot fixes in the P&R environment, significantly accelerating DRC closure schedules while providing Calibre confidence.

Share