Skip to Main Content
white paper

Curvilinear mask process correction – status quo and outlook

Due to the increasing availability of Multi Beam Mask Writers (MBMW) and the associated wafer lithography benefits, efficient handling of curvilinear mask shapes in the post tape-out flow becomes increasingly important. The presence of complex curvilinear mask shapes generated by Inverse Lithography Technology (ILT), or similar techniques requires new features and algorithms, especially in the context of applying Mask Process Correction (MPC).

This paper discusses the status of Curvilinear MPC (CLMPC) and gives a brief outlook into the near future of handling curvilinear mask shapes in the post tape-out flow. A summary of MRC requirements for incoming data and implications for mask inspection are included as well to put CLMPC into the right context. Further, approaches for CLMPC file size compaction along with new methods of data representation based on native curve formats are also discussed. A version of this paper was published in the Proceedings of SPIE 12472.

The curvilinear post tape-out flow

The Calibre team is actively developing solutions to unlock the advantages of CL and to deal with some of the accompanying challenges. We have developed a suite of efficient mask MPC, OPC, and verification solutions that will help in the transition to curvilinear masks, whether for intrinsically curvilinear patterns needed in Silicon Photonics, or what we have “traditionally” viewed as rectilinear designs for logic or memory. The Calibre solutions include:

  • MRC enforcement and verification for Manhattan geometries is handled by the versatile Calibre geometrical processing engine, and that engine can also extend to curvilinear edges. The Calibre OPCVerify contour processing engine is very well suited for curvilinear MRC characterization of constraints such as minimum internal and external dimensions, minimum polygon area, and curvature.

  • Curvilinear data representation and volume — the Calibre software offers a variety of enabling technologies to contain the expected explosion in data volume in expressing the mask pattern with high fidelity.  Data reduction technologies include geometric simplifications, Bezier and B-spline analytical curves, curvature-based fragmentation, and path methods. The Calibre team has worked with customers and industry consortium SEMI to establish new standards for curvilinear data representation.

  • Curvilinear shape processing fidelity and runtime — the Calibre team continuously improves the robustness of the core processing algorithms to efficiently process higher edge counts and skew edges with maximum efficiency and fidelity.

Share