Skip to Main Content
white paper

Curvilinear data format working group for the MBMW era

Multi-beam mask writers (MBMW) enable the use of ideal curvilinear shapes for inverse lithography (ILT) masks, but current layout formats are not sufficient to represent complex ILT designs efficiently from optical proximity correction (OPC) through mask making. In 2019, we initiated a data format working group to address the need for curvilinear data representation for MBMW. The Curvilinear Data Format working group has members from EDA companies and advanced mask makers. In this paper, the necessity of a new curvilinear data format and the goals of our working group will be introduced. We will discuss the progress and the plan of the working group. A version of this paper was presented at the IEEE SPIE conference in 2021 and published in the conference proceedings.

The need for a new data format for curvilinear data

The use of curvilinear mask data for MBMW produces a huge volume of data. While existing data formats have some methods to address the growth in data volume with advanced manufacturing nodes, the challenge remains with the adoption of MBMW.

A new working group was formed with broad industry participation to quantify the curvilinear data volume problem. The group continues to develop, test, and implement new or revised formats based on OASIS.

Share