Skip to Main Content
white paper

A study on various curvilinear data representations and their impact on mask manufacturing flow

Inverse Lithography Technology (ILT) for optical proximity correction (OPC) is going to play a critical role in addressing challenges of optical and EUV lithography as the industry pushes towards advanced nodes. One major barrier in adoption of ILT has been the inability of mask writers to efficiently write curvilinear patterns. However, with the introduction of multi-beam mask writers, this barrier has been removed and widespread adoption of ILT is imminent.

Traditionally, mask writers have accepted only trapezoidal inputs to the tool, though recent trends show that mask writers are adopting to newer formats, which already reduce file size. However, as the ILT shape complexity and data volume increases further for the 5nm nodes and beyond, the explosion of mask pattern data file size becomes a major concern. Therefore, there is a need for the industry to look towards other compact formats of data representation that will be capable of serving well for multiple generations of mask making. In this paper, we compare the various curvilinear data representation schemes and their value in the curvilinear ILT-based mask manufacturing flow.

We demonstrate that given the nature of curvilinear data, representing it using native curve formats has lot of value in terms of file size reduction for futuristic mask making flows. We discuss why the same format may not be applicable for all type of features in the input mask and compare the value of such exotic representations with regular simplification approaches. Finally, we present a comparison of changes in simulated mask contours and wafer contours.

Share